Instruction set simulator

An instruction set simulator (ISS) is a simulation model, usually coded in a high-level programming language, which mimics the behavior of a mainframe or microprocessor by "reading" instructions and maintaining internal variables which represent the processor's registers.

Instruction simulation is a methodology employed for one of several possible reasons:

  • To simulate the instruction set architecture (ISA) of a future processor to allow software development and test to proceed without waiting for the development and production of the hardware to finish. This is often known as "shift-left" or "pre-silicon support" in the hardware development field. A full system simulator or virtual platform for the future hardware typically includes one or more instruction set simulators.
  • To simulate the machine code of another hardware device or entire computer for upward compatibility.
For example, the IBM 1401 was simulated on the later IBM/360 through use of microcode emulation.

© MMXXIII Rich X Search. We shall prevail. All rights reserved. Rich X Search