2 nm process

In semiconductor manufacturing, the "2 nm process" is the next MOSFET (metal–oxide–semiconductor field-effect transistor) die shrink after the "3 nm" process node.

The term "2 nanometer" or alternatively "20 angstrom" (a term used by Intel) has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of the International Roadmap for Devices and Systems published by the Institute of Electrical and Electronics Engineers (IEEE), a "2.1 nm node range label" is expected to have a contacted gate pitch of 45 nanometers and a tightest metal pitch of 20 nanometers.[1]

Process Gate pitch Metal pitch Year
7 nm 60 nm 40 nm 2018
5 nm 51 nm 30 nm 2020
3 nm 48 nm 24 nm 2022
2 nm 45 nm 20 nm 2024
1 nm 42 nm 16 nm 2026


As such, "2 nm" is used primarily as a marketing term by the semiconductor industry to refer to a new, improved generation of chips in terms of increased transistor density (a higher degree of miniaturization), increased speed, and reduced power consumption compared to the previous "3 nm" node generation.[2][3]

As of May 2022, TSMC was expected to begin risk "2 nm" production at the end of 2024 and mass production in 2025;[4][5][needs update] Intel at that time forecasted production in 2024,[6] and Samsung in 2025.[7][needs update]

  1. ^ INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: More Moore, IEEE, 2021, p. 7, archived from the original on 7 August 2022, retrieved 7 August 2022
  2. ^ "TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is"". 10 September 2019. Archived from the original on 17 June 2020. Retrieved 20 April 2020.
  3. ^ Samuel K. Moore (21 July 2020). "A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric". IEEE Spectrum. IEEE. Archived from the original on 2 December 2020. Retrieved 20 April 2021.
  4. ^ Cite error: The named reference tsmc_rm_2022 was invoked but never defined (see the help page).
  5. ^ "TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025". AnandTech. 18 October 2021. Archived from the original on 23 March 2022. Retrieved 23 March 2022.
  6. ^ "Intel Technology Roadmaps and Milestones". Intel. 17 February 2022. Archived from the original on 16 July 2022. Retrieved 15 March 2022.
  7. ^ "Samsung Foundry: 2nm Silicon in 2025". AnandTech. 6 October 2021. Archived from the original on 23 March 2022. Retrieved 23 March 2022.

© MMXXIII Rich X Search. We shall prevail. All rights reserved. Rich X Search